Ic+ và ic module analog có giá trị bao nhiêu năm 2024

- Thiết kế đơn giản nhưng hiệu quả và độ tin cậy cao, độ nhiễu thấp do được thiết kế mạch lọc tín hiệu trước khi so sánh với ngưỡng.

- Thân thiện với người dùng hơn khi hổ trợ cả 2 dạng tín hiệu ngõ ra dạng số (tín hiệu 0 1) và dạng analog

- Module tuyệt vời với các sản phẩm DIY

Thông số kỹ thuật

- Điện áp hoạt động 3.3 – 5 V

- Kết nối 4 chân với 2 chân cấp nguồn (VCC và GND) và 2 chân tín hiệu ngõ ra (AO và DO).

- Hổ trợ cả 2 dạng tín hiệu ra Analog và TTL. Ngõ ra Analog 0 – 5V tỷ lệ thuận với cường độ ánh sáng, ngõ TTL tích cực mức thấp.

Khác với thiết kế digital (làm việc với số lượng transistor khổng lồ, từ vài chục nghìn, vài trăm nghìn tới vài triệu cổng cho một module/block) thiết kế analog thông thường thiết kế mạch với vài chục tới vài trăm transistor, mosfet, điện trở, tụ điện cho một module/cell. Nếu digital, các cell được chuẩn hoá kích thước thì với analog kỹ sư toàn quyền quyết định kích thước. Do đó quy trình, cách thức cũng như công cụ dùng cho thiết kế tương tự sẽ khác nhiều với digital. Nói vui kỹ sư layout digital nhiều khi còn chả thèm quan tâm (biết) mosfet mình dùng có mấy cực, và kích thước W, L là bao nhiêu.

Tùy thuộc vào mỗi công ty, quy trình chi tiết sẽ có những điều chỉnh phù hợp nhưng tổng quan lại thì là giống nhau như sau:

Đầu tiên là thiết kế mạch điện: Bắt đầu bằng bản mô tả yêu cầu kỹ thuật của mạch điện (tài liệu đặc tả yêu cầu kỹ thuật của mạch điện do đội ngũ các kỹ sư trưởng hoặc đội ngũ làm việc phân tích nhu cầu của khách hàng chịu trách nhiệm,) người kỹ sư sẽ dùng một phần mềm vẽ mạch điện (schematic capture/schematic editor), đặt, lựa chọn kích thước hình học linh kiện và nối các cực (terminal) của linh kiện mosfet, bipolar transistor, diode, tụ điện, điện trở, cuộn cảm (thông thường chỉ trong RF mới dùng đến cuộn cảm) với nhau. Sau khi hoàn thành mạch điện thì sẽ dùng chức năng biên dịch mạch điện (netlist generation) của phần mềm tạo ra một file text mô tả mạch điện gọi là netlist. (.sp; .net; …) Các phần mềm hiện tại đa phần đều có chức năng dịch ngược từ netlist ra mạch, tuy nhiên mạch điện không được đẹp cho lắm.

Tiếp đến là dùng phần mềm mô phỏng như SPICE, HSPICE, SMARTSPICE, FINESIM, SPECTRA, … để mô phỏng mạch điện. Nếu không phải test silicon/characterize silicon sample thì việc tốn thời gian nhất của kỹ sư thiết kế tương tự là mô phỏng. Mô phỏng phải đảm bảo không bỏ sót các trường hợp có thể xảy ra với quá trình chế tạo (process fast, slow, typical) và các bối cảnh hoạt động của mạch: profile điện áp, nhiệt độ đầu vào, điều kiện tải tải đầu ra… Với mỗi mạch điện khác nhau ( band-gap reference, bias current, Oscillator, UVLO, Comparator, OpAmp, Driver, …) thì các bộ tham số để đánh giá chất lượng mạch là khác nhau. Mạch top level (gồm nhiều block cơ bản ghép lại với nhau) thì quy mô việc mô phỏng sẽ rất lớn, tốn rất nhiều thời gian. Do đó hiện nay kỹ sư thường phải mô hình hoá các mạch thành phần để mô phỏng nhanh hơn. Đôi khi ở một mức độ nào đấy đánh giá trình độ kỹ sư tương tự ở việc how smart you run simulation. Trước đây (2008) mình thấy mô hình hoá (behavior modeling) ít được đề cập, sử dụng tuy nhiên hiện nay modeling mạch tương tự phổ biến hơn rất nhiều.

Học từ VIP của các bạn verification, các bạn kỹ tư tương tự lúc rảnh hoàn toàn có thể tạo các test component cho các mạch thông dụng như comparator, opamp, …vì mạch nào cũng cần quét điện áp từ thấp đến cao rồi từ cao xuống thấp với các slope khác nhau, mạch nào cũng cần kiểm tra với các profile tải (load) khác nhau, … tạo sẵn các test component này sẽ rất tiện dùng lần sau và có thể hạn chế được human mistake (những lúc tâm trạng không tốt)

Sau khi design review với đội ngũ senior, concept engineer đánh giá kết quả mô phỏng là tốt, đáp ứng spec đề ra (so với bản đặc tả yêu cầu kỹ thuật đầu vào), thì mạch điện sẽ được chuyển qua bộ phận layout để tiến hành layout. Ngày xưa kỹ sư thiết kế đôi khi layout luôn nhưng hiện nay mức độ chuyên môn hoá cao, nên đa phần kỹ sư thiết kế không phải tự layout mạch điện nữa. Nhưng trong mạch điện kỹ sư thiết kế bắt buộc phải ghi chú mình muốn layout như thế nào, ví dụ matching style, size metal wire, vị trí đặt các linh kiện, … để kỹ sư layout biết.

Với kỹ sư thiết kế tương tự, ngoài việc chính là vẽ mạch, thiết lập testbench chạy mô phỏng thì viết design review document (tài liệu thiết kế) cũng khá quan trọng.Tài liệu này mô tả chức năng của mạch điên, hình vẽ của mạch điện với các thông số vật lý về kích thước, kết quả mô phỏng, các trường hợp worst case. Tài liệu này để phục vụ mục đích lưu trữ, tham chiếu khi cần và để phục vụ các cuộc họp review với các bô phận có trách nhiệm, như design manager, senior engineer, application engineer, . . .những cuộc họp này khá mệt nhưng rất vui. Các kỹ sư mới sẽ học được nhiều kỹ thuật thiết kế từ các cuộc họp này vì tham gia review toàn các cao thủ ngồi, các kỹ sư trẻ vừa có cơ hội chứng tỏ bản thân vừa có cơ hội học được khối thứ vì các mạch điện sẽ được phân tích và giải thích kỹ càng. Các bạn tốt nhất nên xác định một bản checklist những vấn đề cần mô phỏng, tick vào đấy để dễ kiểm soát chất lượng tài liệu.

Tiếp sau đó công việc layout (layout sẽ được đề cập ở bài viết riêng): người kỹ sư thiết kế dựa vào bản vẽ mạch điện để tiến hành đặt và nối các lĩnh kiện với nhau sử dụng phần mềm chuyên dụng dành cho thiết kế layout. Phần mềm này cũng cho phép người kỹ sư kiểm tra bản layout đúng với các tiêu chuẩn của công nghệ cũng như đảm bảo các linh kiện được nối với nhau trên hình vẽ layout hoàn toàn khớp với mô tả trên bản vẽ mạch điện gọi là xong các sign-off checklist layout verification. Đến đây là thời khắc vô cùng đáng nhớ: GDS out (Tape-Out).

Thiết kế mask: Các dữ liệu thiết kế layout mã hóa ở dạng (format) GDS sẽ được những máy chuyên dụng đọc và tạo ra một bộ mask. Có thể hiểu mask như một tấm phim âm bản dùng để hình thành những lớp vật liệu của một IC.

Chế tạo (fabrication): Các máy móc chuyên dùng trong nhà máy dựa vào bộ mask sẽ hình thành các lớp vật liệu của một IC trên một tấm silicon gọi là wafer. Các bạn có thể tham khảo loạt bài viết từ cát tới chip của bác Paddy trên diễn đàn dientuvietnam theo đường dẫn sau:

//www.dientuvietnam.net/forums/forum/vi-%C4%90i%E1%BB%87n-t%E1%BB%AD-thi%E1%BA%BFt-k%E1%BA%BF-ph%C3%A1t-tri%E1%BB%83n-v%C3%A0-%E1%BB%A8ng-d%E1%BB%A5ng/c%C3%B4ng-ngh%E1%BB%87-asic-advance-techno/27043-t%E1%BB%AB-c%C3%A1t-%C4%91%E1%BA%BFn-chip

Kiểm tra wafer (wafer sort): Trước khi cắt wafer thành các die (IC), wafer sẽ được test để loại ra những die sai hỏng. Ở bước này các máy kiểm tra chuyên dụng sẽ load wafer và chay chương trình test đã được lập trình sẵn để kiểm tra từng die một (đồng thời có thể tiến hành trim để điều chỉnh các thông số thiết kế về giá trị mong muốn trước)

Đóng gói (Assembly & Packaging – nhà máy Intel ở VN là làm công việc này): Sau khi đánh dấu các die sai hỏng, wafer được đưa đến dây chuyền cắt, loại bỏ die sai hỏng, nối dây từ các pad trên die tới các chân trên package (bonding). Hiện nay đóng gói phát triển như một lĩnh vực riêng, không đơn thuần bonding wire nữa, dùng ball, rồi nối die to die, hàng nghìn wire truyền tín hiệu tốc độ cao, … Có nhiều các loại package khác nhau.

Kiểm tra (Testing): Trước khi giao cho khách hàng, các IC (chip) được kiểm tra để đảm bảo các thông số đúng như thiết kế và loại trừ những sai hỏng do quá trình đóng gói tạo ra. Cùng với nó là các kết quả kiểm tra ESD, Latch-up, Burn-in (tuổi thọ IC,) EMI/EMC, đạt các tiêu chuẩn chất lượng đặc thù cho từng loại ứng dụng

Các việc chế tạo mask; fabrication; wafer out; test wafer; cắt và đóng gói (assembly & packaging); test . . . thì kỹ sư thiết kế tương tự không trực tiếp làm nhưng cũng cần biết để phối hợp.

Trong phạm vi kinh nghiệm của mình thì kỹ sư tương tự sẽ cần để ý những khía cạnh sau:

[1] Ngoài việc thiết kế mức top level một IC thuần mạch analog, kỹ sư tương tự chủ yếu làm việc mức module/IP. Module/IP này dùng trong các SoC, do đó kỹ sư tương tự cần hiểu rõ đồng nghiệp mình ở mức top level cần gì, sẽ cần hiểu và làm quen với verilog model, timing database, liberty, lef, .db, … việc chạy theo để support cho đồng nghiệp làm SoC là cũng rất mệt.

[2] Testing, đây là vấn đề liên quan đến giá thành (thời gian test) nên bên cạnh việc đảm bảo performance còn phải đảm bảo mạch analog có thể test được, test dễ dàng và hiệu quả. DFT_Design for Test cũng là vấn để kỹ sư tương tự cần chú ý.

[3] Debug silicon, khi silicon có vấn đề thì kiến thức analog ví dụ như ESD, Latch-up, Power, Noise, Clocking, sẽ cũng khá mệt mỏi. Nói vui một chút, kỹ sư chỉ chuyên thiết kế digital, không quan tâm mức transistor level chỉ quan tâm thuật toán thì họ chỉ nhảy vào khi lỗi đó được chứng minh do thuật toán sai (ít xảy ra, nêú có thì có thể temporary fix bằng firmware) nên kỹ sư digital ít áp lực hơn kỹ sư analog. Lỗi chủ yếu do implementation chứ ít khi digital code sai (nếu có lại do đội verify chưa check kỹ) Analog dễ mắc sai lầm hơn nên debug silicon đôi khi là những kỷ niệm buồn.

Chủ đề